Content area

Abstract

The growing complexity of Application-Specific Integrated Circuits (ASICs) has driven the demand for more efficient design and verification methodologies. This thesis explores a range of techniques and toolchains that span the full ASIC flow from register-transfer level (RTL) design and verification to layout generation and security evaluation.

We begin with the design of functional RTL components such as finite-state machines (FSMs), matrix multipliers, an Advanced High-Performance Bus (AHB) to SRAM controller, and a small neural network accelerator. We establish functional correctness through simulation (Synopsys VCS with Verdi), functional and code coverage, and selected formal checks. For physical design, we demonstrate RTL-to-GDSII using OpenLane with the Sky130 PDK and perform logic synthesis and timing analysis via Synopsys Design Compiler, reporting configuration choices and the resulting area and timing for our designs.

We then review applications of machine learning in hardware design and verification, with emphasis on large language model (LLM)-assisted methods. In our work, LLMs are applied to module-level verification support, including automatic generation of testbenches and checkers.

Finally, we present real-world case studies in hardware security, centered on vulnerabilities in the OpenTitan SoC platform. Using formal tools (VC Formal, SpyGlass), taint tracking, and custom bug-detection scripts, we uncover security flaws and propose automated mitigation workflows.

Together, these contributions demonstrate a comprehensive methodology for secure, verifiable ASIC design using both traditional and AI-augmented toolchains.

Details

1010268
Title
AI-Driven ASIC Design and Verification: Integrating Machine Learning, LLMs, and Secure Hardware Practices
Number of pages
67
Publication year
2025
Degree date
2025
School code
0029
Source
MAI 87/4(E), Masters Abstracts International
ISBN
9798297645196
Committee member
Baas, Bevan; Al-Asaad, Hussain
University/institution
University of California, Davis
Department
Electrical and Computer Engineering
University location
United States -- California
Degree
M.S.
Source type
Dissertation or Thesis
Language
English
Document type
Dissertation/Thesis
Dissertation/thesis number
32239904
ProQuest document ID
3263306676
Document URL
https://www.proquest.com/dissertations-theses/ai-driven-asic-design-verification-integrating/docview/3263306676/se-2?accountid=208611
Copyright
Database copyright ProQuest LLC; ProQuest does not claim copyright in the individual underlying works.
Database
ProQuest One Academic