Content area
The miniaturization of integrated circuits (ICs) necessitates alternative diffusion barriers with reduced electrical resistance to mitigate the RC delay effect. Self-assembled monolayers (SAMs), with their molecular-scale thickness (1–2 nm), offer a promising solution for controlling Ru interdiffusion while preserving low resistivity. This study investigates the effectiveness of 2-hydroxybenzylimine-triethoxysilane (2-HBITES), benzyliminetriethoxysilane (BITES), and n-octyltriethoxysilane (OTS) as SAM-based diffusion barriers for Ru metallization. SAM functionalization was confirmed using X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS), while barrier performance was evaluated through sheet resistance measurements, X-ray diffraction (XRD), transmission electron microscopy (TEM), and scanning transmission electron microscopy (STEM) following rapid thermal annealing (RTA). The results demonstrate that SAM-modified substrates effectively suppress Ru silicide formation, with 2-HBITES exhibiting the highest thermal stability, delaying Ru interdiffusion until 800 °C, compared to 775 °C for BITES, 725 °C for OTS, and 600 °C for non-functionalized Ru/Ox/Si. The superior performance of 2-HBITES is attributed to its salicylaldimine terminal group, which enhances Ru adhesion and diffusion suppression. Additionally, this study provides direct XPS evidence of SAM retention within a Ru/SAM/Ox/Si structure post-annealing at 700 °C, highlighting the potential of SAMs as thermally stable, ultrathin diffusion barriers for advanced interconnect technologies.
As microchips become smaller and faster, the materials used to connect electronic components face increasing performance and reliability challenges. This study introduces a molecular engineering strategy that uses self-assembled monolayers (SAMs)—extremely thin molecular films—to improve the stability and performance of metal wiring in advanced electronics. By tailoring the molecular structure of the SAM, the team developed a new interface material that enhances adhesion, reduces electrical resistance, and withstands high temperatures. Specifically, the engineered monolayer coating demonstrated strong bonding with ruthenium (a metal used in chip wiring), effectively blocking unwanted diffusion and preserving material integrity even at 700 °C. This work offers a new pathway for creating ultra-thin, high-performance materials critical for next-generation semiconductor devices.
This summary was initially drafted using artificial intelligence, then revised and fact-checked by the author.
This study demonstrates the use of molecularly tailored self-assembled monolayers (SAMs) as ultrathin diffusion barriers and adhesion liners for Ru interconnects. Compared to non-functionalized and methyl-terminated surfaces, hydroxylated arylimine SAMs such as 2-HBITES effectively suppress Ru interdiffusion, prevent film delamination, and significantly enhance thermal stability up to 700 °C. The SAM-functionalized interfaces exhibit improved adhesion and structural integrity, offering a promising strategy for future scaled interconnect technologies.
Introduction
According to Moore’s law, the number of transistors in integrated circuits doubles approximately every 2 years1. This continuous miniaturization of integrated circuits results in increased resistive-capacitive (RC) delay due to the reduction in size2. As technology progresses and semiconductor processes advance, scaling down technology nodes below 10 nm causes the interconnect width to fall below the mean free path of copper (40 nm). This reduction leads to increased resistivity due to enhanced electron scattering, significantly raising the resistivity of copper (Cu)3. Consequently, there is a pressing need to adopt a new metal for interconnects to reduce the overall resistivity of integrated circuits (ICs). When selecting an alternative metal interconnect, both bulk resistivity (ρo) and metal mean free path (λ) are crucial factors4. A metal with a ρo × λ product smaller than that of copper is a suitable candidate. Ruthenium, which possesses intrinsically lower resistivity and a shorter mean free path, is considered more promising for next-generation interconnects4, 5–6. This makes ruthenium a viable candidate for addressing the limitations posed by copper in ultra-fine pitch processes. In addition to the effects on metal lines, the commonly used tantalum/tantalum nitride (Ta/TaN) as the adhesive layer and diffusion barrier also present serious challenges since Ta/TaN takes up a large volume of the connection as dimensions get smaller, which increases resistance and causes the RC delay effect7. This limitation restricts its application in advanced ultra-fine pitch processes. Conventional TaN technology struggles when metal lines under 10 nm exhibit poor performance, allowing molecule penetration and resulting in uneven film coverage8,9. Consequently, to maintain the barrier’s blocking capability, the liner needs to be at least 5–10 nm thick is required for the Cu damascene process. As the critical dimension of Cu interconnect reduces to 10 nm, the percentage occupancy of TaN of the entire interconnect cross-sectional area with scaling leads to a significant increase in copper resistivity, causing size effects and electromigration issues, such as making super-filling difficult10,11. Therefore, replacing the traditional Ta/TaN barrier is imperative. Alternative materials have been explored, including h-BN12, and MoS213 as diffusion barrier. However, the high temperature (more than 500 °C) required for the direct creation of h-BN and MoS2 would destroy the IC as a whole14, 15–16. Among these approaches, SAMs have been investigated as candidates for ultrathin diffusion barriers in the Cu damascene process17, 18–19. SAMs form a densely packed, defect-free thin organic film through chemical reactions with the substrate. The highly compact arrangement of SAMs prevents atomic interdiffusion through defects, thus overcoming the limitations of traditional diffusion barriers in ultra-fine pitch processes, which may not cover the underlying material uniformly and can lead to gaps or defects that allow atoms diffusion20, 21–22. Additionally, the anchoring group of SAM anchored directly with the substrate through strong covalent bonds, serving as an adhesion promoter23,24. For interdiffusion application, the terminal group of SAM is engineered to chelate to metals, forming stable metal complexes that enhance metal stability25 to inhibits interdiffusion between metal ions and the dielectric26,27. For example, APTES (SAM-NH2), with an amine terminal group has demonstrated as an effective diffusion barrier, suppressing Cu3Si formation and maintaining a metallic sheet resistance upon rapid thermal process for 10 min at 400 °C28. These studies have indicated that SAMs can serve as effective barriers when the SAM molecules are appropriately designed29, 30–31. A study investigating time to failure found that metal–oxide–semiconductor (MOS) capacitors with SAMs terminated with aromatic rings exhibit longer failure times versus SAM with a methyl group. This can be attributed to the size and configuration of the terminal groups; the aromatic rings sterically hinder Cu diffusion between the molecules through the SAM layer32. Moreover, to form a continuous and defect-free barrier layer, which is crucial for maintaining barrier effectiveness, SAMs must adhere well to both metal and dielectric material surfaces. According to the literature, SAMs with terminal groups such as thiol and amine in a Cu/SAM/SiO2/Si structure have demonstrated enhanced metal/dielectric adhesion strength23,33,34. This enhancement is attributed to the chelation ability of the amino and sulfur group in forming metal complexes that strengthen the interfacial adhesion between the metal and the dielectrics.
Previously, our group reported the synthesis and functionalization of BITES on Si substrate in reducing interface scattering at ruthenium/dielectric (Ru/SiO2) interface35. This approach leveraged the strong affinity of the benzylimine moieties in BITES for chelating Ru, forming Ru–N=C coordination complexes36. We reported an increase in specular electron scattering events and a corresponding reduction in sheet resistance of Ru/BITES/SiO2 compared to the non-functionalized Ru/SiO2. These findings suggested a promising avenue for mitigating resistivity in metal interconnects. However, BITES exhibited only modest improvement in adhesion strength compared to non-functionalized Ru/SiO2, highlighting a key limitation of BITES as a liner35. Additionally, the diffusion barrier performance of SAM in Ru interconnect has not been explored. To address this challenge, herein, we demonstrate the synthesis and functionalization of 2-HBITES, a molecular analog of BITES incorporating a 2-hydroxybenzylimine moiety, designed to enhance chelation with Ru. The introduction of an ortho-hydroxy substituent on benzylimine is hypothesized to increase binding affinity due to the bidentate coordination of Ru with both the imine and hydroxy functional groups. Prior studies have indicated that the binding energy (BE) of the Ru–2-hydroxybenzylimine complex is significantly higher than that of the Ru–benzylimine complex, suggesting that this modification could further strengthen the metal–SAM—SiO2 interface37,38. In this study, we synthesized and functionalized 2-HBITES onto Si/SiO2 to serve as an effective Ru diffusion barrier while simultaneously enhancing the Ru/SiO2 interfacial adhesion. Our experimental findings reveal that Ru/2-HBITES/Ox/Si exhibits superior adhesion strength and thermal stability, effectively mitigating Ru interdiffusion even after rapid thermal annealing (RTA). Comparative analyses with other SAM-functionalized systems reveal that SAMs featuring hydroxybenzylimine terminal groups showed significant enhancement in the adhesion strength and diffusion barrier performance. These results highlight the technological potential of 2-HBITES-functionalized interfaces in advancing the reliability and efficiency of next-generation interconnect technologies.
Experimental section
Materials and methods
(3-Aminopropyl)triethoxysilane (99%) and toluene (≥99.8%) were procured from ALDRICH, while salicylaldehyde (99%), hydrofluoric acid (49%, Uniregion Bio-Tech, Taiwan) and n-octyltriethoxysilane (95%) were sourced from Alfa Aesar. Ethanol (≥99.8%), isopropyl alcohol, and acetone were obtained from Sigma. N-type silicon wafers (100) and ruthenium target (purity 99.99%) were purchased from MUSTEC CORP. Kao Duen Technology Corporation manufactured the physical vapor deposition apparatus for DC sputtering of Ru films. NMR was used to characterize the reaction product of BITES and 2-HBITES. The results were obtained using a Varian VNMRS-700 NMR Spectrometer (US). The theoretical thickness of the SAMs was determined using ellipsometry (J.A. Woollam, M2000, 75 W Xenon lamp, US), with Cauchy film as a model for calculating film thickness. Ellipsometry analyzed film thickness using a wavelength range of 245–1000 nm at incidence angles ranging from 65o to 75o with 5o intervals. Surface BE was studied using high-resolution photoelectron spectroscopy (ULVAC-PHI, PHI QuanteraII, US) to investigate surface binding energy (BE) of the samples. The Si 2p core-level peak, with a BE = 99.4 eV was taken as a refs. 39,40. The surface wettability was assessed by measuring water contact angles with the SEO Phoenix-I (Korean). Surface morphology was examined via atomic force microscopy (AFM) (Bruker, Model: Dimension ICON, tungsten tip, Germany) in tapping mode. Via time-of-flight secondary ion mass spectrometry (ION-TOF, TOF-SIMS V, Germany), the surface grafting density was analyzed using a Bi-cluster primary ion guns and depth profile of SAMs were evaluated by Cs+ ion guns for sputtering. The sheet resistance, TEM and XRD analysis (Brucker D2 spectrometer) were performed to investigate the formation of ruthenium silicide upon RTA treatment. Sheet resistance was measured using a four-point probe (Keithley-4200) with a current ranging from –0.1 A to 0.1 A, with an interval of 0.01 mA. The adhesion strength of the Si/Ox/SAMs/Ru films was tested with a TriboLab nanoindentor (Bruker, Hysitron TI 980). A load ramp was applied to each sample containing 20 nm of Ru film, ranging from 0 to 8000 μN. Each measurement was repeated three times, and the averages and standard deviations were calculated. The specimens were prepared using a dual-beam focused-ion-beam technique (FEI Helios Nanolab 600i System) and cross section was utilized spherical aberration-corrected TEM (JEM-ARM 200FTH) for detailed analysis.
Synthesis of 2-HBITES
Aminopropyltriethoxysilane (APTES), which has three anchoring groups, is commonly used as a SAM for functionalization of silicon wafers for a variety of applications41,42. Based on the synthesis of BITES reported by our group35, 2-HBITES was prepared via similar protocol. Briefly, 100 ml of anhydrous ethanol with the addition of salicylaldehyde (2.44 g, 0.02 mol) of were added to a 250 mL three-necked round-bottom flask equipped with a magnetic stir bar and a reflux condenser43. The mixture was heated to 85 °C, followed by the addition of APTES (4.42 g, 0.02 mol). After the mixture was then refluxed for 3 h under nitrogen. At the end of the synthesis, the ethanol was removed using the rotary evaporator under reduced pressure yielding a yellow, viscous liquid of HBITES with the yield 97.4%, 1H NMR (700 MHz, CDCl3): δ = 13.53 ppm (s,1H), δ = 8.24(s,1H), δ = 7.21(m, 1H, J = 7.09 Hz), δ = 7.15 (m, 1H, J = 7.48 Hz), δ = 6.88 (m, 1H, J = 7.88 Hz), δ = 6.78 (m, 1H, J = 7.09 Hz), δ = 3.77 (q, 6H, J = 7.43 Hz), δ = 3.51 (t, 2H, J = 6.22 Hz), δ = 1.76 (t, 2H, J = 7.31 Hz), δ = 1.18 (t, 9H, J = 8.35 Hz), δ = 0.61 (t, 2H, J = 8.16 Hz)
Metallization on SAM-functionalized SiO₂/Si substrates
A 2 cm × 2 cm piece of a clean N-type silicon wafer (100) with native oxide (Si/SiO2) was sonicated in acetone, isopropanol, and deionized water for 10 min each. After drying the substrate under a stream of N2, the cleaned Si/SiO2 substrate is then immersed in a Teflon container with 49% hydrofluoric acid for 5 min to remove the native oxide. Following this step, the oxide-free silicon is rinsed with deionized water and then dried using nitrogen blowing. The cleaned Si substrate was treated with UV/O3 at 100 °C for 1 h. The activated Si wafer was then promptly functionalized with BITES, OTS, and 2-HBITES.
Activated Si substrates were immersed in anhydrous toluene containing OTS (0.5% v/v), BITES (0.05% v/v), and 2-HBITES (0.01% v/v) for 24 h, 1 h, and 12 h, respectively. After functionalization, the SAM-functionalized Si substrates were sonicated in toluene for 10 min to remove any physiosorbed molecules, followed by extensive rinsing with isopropanol. The substrates were then dried under a N2 gas stream and stored in a desiccator under reduced pressure to prevent contamination. The samples were subsequently kept in a desiccator under reduced pressure. The structural and chemical properties of the functionalized SAM layers were characterized using ellipsometry, X-ray photoelectron spectroscopy (XPS), water contact angle (WCA) measurements, AFM, and ToF-SIMS.
To systematically evaluate the efficacy of SAMs as diffusion barriers, both SAM-functionalized and non-functionalized Si substrates underwent identical processing conditions. Specifically, the non-functionalized Si substrates, used as a comparative control, were subjected to a hydrofluoric acid (HF) treatment to remove the native oxide layer, followed by UV/O₃ treatment to enhance surface hydroxylation. Subsequently, these substrates were immersed in anhydrous toluene for 1 h to ensure comparable surface conditioning to that of the SAM-functionalized samples. After these treatments, both SAM-modified and non-functionalized Si substrates developed a thin SiOx interfacial layer, as reported in previous studies44,45. Following surface preparation, the substrates were immediately transferred to a DC magnetron sputtering chamber to prevent contamination. The chamber was evacuated for 3 h until the base pressure reached 1 × 10⁻⁶ torr to ensure a high-purity deposition environment. Prior to film deposition, the ruthenium (Ru) target underwent pre-sputtering for 10 min to remove any surface oxides and contaminants. During the Ru deposition process, the silicon substrate holder was continuously rotated at 25 rpm to ensure uniform film coverage. The deposition of the Ru thin film was performed at a power of 75 W for 2 min under a controlled argon gas flow and a maintained chamber pressure of 1 × 10⁻⁶ torr at room temperature.
Rapid thermal annealing (RTA) treatment
Following on-chip metallization, the prepared samples underwent RTA using a ULVAC-RIKO MILA-5000 system to investigate the interdiffusion behavior of Ru and Si. The annealing process was conducted at various temperatures for 30 min under a controlled reduced pressure of 8 × 10⁻³ torr to minimize oxidation and ensure reproducibility environments.
Results and discussion
Design and the synthesis of 2-HBITES
In this study, 2-HBITES was synthesized via a Schiff base condensation reaction between APTES with salicylaldehyde using ethanol as the solvent, following established protocols43,46,47 (Scheme 1). The synthesis method was adapted from our previous work on BITES. The successful synthesis of 2-HBITES was confirmed by the 1H NMR spectra (Fig. 1). The 1H NMR spectrum of 2-HBITES exhibited characteristic peaks at 7.21 ppm (Ha, 1H), 6.88 ppm (Hb, 1H), 6.78 ppm (Hc, 1H), and 7.15 ppm (Hd, 1H), corresponding to the aromatic protons of the benzylimine moiety. The distinct peak at 8.24 ppm (1H) was assigned to the imine group (–HC=N) proton, confirming the successful Schiff base condensation reaction between the carbonyl (C=O) of salicylaldehyde and the amine (–NH2) group of APTES. Additionally, the presence of a downfield peak at 13.53 ppm (Hk, 1H), attributed to the hydroxyl (–OH) proton (Hk) at the ortho position of hydroxybenzylimine moiety, further validated the structural integrity of 2-HBITES48. Furthermore, the quantitative nature of the Schiff base reaction was demonstrated by the integration ratio of the aromatic protons (Ha, Hb, Hc, and Hd) to the imine (HC=N) proton, as well as the alkoxysilane (-Si(OEt)3) region (Hi, and Hj) to the imine proton49. The observed stoichiometry ratios indicated complete conversion of APTES and salicylaldehyde, with no detectable excess of reactant.
Synthesis of 2-HBITES.
Fig. 1 [Images not available. See PDF.]
2-HBITES 1H NMR spectrum at room temperature in CDCl3.
Optimization of SAM deposition and surface roughness analysis
The optimization of SAM deposition on Si-based substrates has been widely studied, emphasizing the critical role of deposition parameters such as temperature, concentration, and immersion time in achieving a well-ordered monolayer film50, 51, 52, 53–54. Although SAM depositions are a spontaneous process, precise control of these parameters is necessary to prevent self-polymerization, which can occur due to moisture exposure during deposition. To mitigate this effect, the substrate is immersed in anhydrous toluene, thereby reducing the likelihood of hydrolysis and uncontrolled polymerization55,56. Additionally, lowering the deposition temperature minimizes the kinetic energy of molecular aggregation, allowing SAM molecules to assemble in a densely packed and ordered configuration at the substrate interface42,57.
To ensure the formation of an optimal SAM monolayer, surface roughness evolution was monitored as a function of deposition time, providing critical insight into the molecular self-assembly process (Figs. 2, and S1)58. At shorter deposition times (2 h), both 2-HBITES- and OTS-modified Si substrates exhibited relatively high roughness values and large standard deviations, indicating incomplete monolayer formation and a lack of molecular ordering. This observation is attributed to the initial rapid adsorption phase, where SAM molecules bind to the substrate due to the strong affinity of the silane anchoring groups to SiO₂, resulting in a rapid increase in thickness but with a disordered arrangement59,60. As the deposition progressed, the backbone of the SAM molecules gradually reorganized via van der Waals interactions, reducing surface roughness and enhancing molecular packing density52. The roughness standard deviation significantly decreased after 12 h for 2-HBITES and 24 h for OTS, confirming that prolonged deposition time allows for a well-packed and highly ordered monolayer to form (Fig. 2). These findings underscore the importance of optimizing deposition conditions, particularly when using low-concentration SAM solutions, to achieve uniform monolayer coverage. To further investigate this effect, we systematically analyzed the relationship between SAM concentration, deposition time, and film thickness using spectroscopic ellipsometry. Figure 3a illustrates that 2-HBITES film thickness increased with deposition time at higher concentrations (0.05–2%), with excessive concentrations leading to multilayer formation and non-uniform films51,58. Although a monolayer thickness was observed within 60 min at a 0.05% concentration (Fig. 3a, black trace), the resulting SAM structure was not densely packed, consistent with the surface roughness analysis. This discrepancy arises from the rapid adsorption phase, where the initial binding of SAM molecules does not immediately result in optimal molecular ordering61, 62–63. To further suppress multilayer formation and promote controlled self-assembly, lower 2-HBITES concentrations (0.01–0.03%) were investigated58. As shown in Fig. 3b, the deposition rate at these lower concentrations exhibited a more gradual increase over time, with a plateau observed after 12 h at 0.01%, confirming the formation of a monolayer film with a measured thickness of ~1.22 nm, closely matching the theoretical monolayer thickness64. A similar trend was observed for OTS-functionalized Si (Fig. S2). AFM measurements using the nanoshaving65 method further confirmed the optimized film thickness for OTS, BITES, and 2-HBITES as 0.75 nm, 1.32 nm, and 1.23 nm, respectively (Fig. S3). These optimizations ensure uniform SAM formation on Si, enabling a direct comparison of terminal group effects on diffusion barrier performance and adhesion at the Ru/Ox/Si interface. By controlling deposition parameters, we establish a consistent monolayer structure, allowing for a rigorous assessment of interfacial interactions crucial for SAM-based diffusion barriers in advanced interconnects.
Fig. 2 AFM Analysis of Surface Roughness for SAM-functionalized Substrates. [Images not available. See PDF.]
Atomic force microscopy (AFM) analysis of root-mean-square (RMS) surface roughness for a 2-HBITES and b OTS self-assembled monolayers (SAMs) deposited on silicon substrates as a function of immersion time.
Fig. 3 Thickness evolution of 2-HBITES SAM with deposition time. [Images not available. See PDF.]
Ellipsometry thickness measurement of 2-HBITES SAM on Si substrate deposited under a high concentration and b low concentrations, plotted as a function of different deposition times.
Surface characterization of SAM-functionalized Si substrates: wettability, chemical composition, and bonding
The successful functionalization of SAMs on Si substrates was confirmed through both WCA measurements and XPS, providing complementary evidence of surface modification66,67. UV/O₃ treatment of the bare Si substrate reduced the WCA from 48° to 7.4°, indicating the removal of organic contaminants and the formation of a hydrophilic SiO₂ layer68. Upon SAM functionalization, WCA values varied significantly based on terminal groups: OTS increased the WCA to 98°69,70, confirming its hydrophobic methyl-terminated surface, while 2-HBITES exhibited a lower WCA (40°) compared to BITES (70.5°)35, attributed to its hydroxyl-functionalized benzene ring71 (Table S1).
XPS analysis further corroborated successful SAM deposition. Figure 4a–d shows the Si 2p core-level spectra for both bare Si and SAMs-functionalized surfaces. The Si 2p peak at 99.5 eV, attributed to elemental silicon, was deconvoluted into characteristic Si 2p3/2 (99.4 eV) and Si 2p1/2 (100 eV) components, maintaining an area ratio of 2:1, consistent with the expected spin-orbit splitting of Si 2p levels72. Additionally, the 103.2 eV peak corresponds to SiO2, confirming the presence of native oxides on the Si surface following HF treatment73,74. A critical indicator of successful SAM functionalization is the Si–O–silane peak at 102.6 eV, which arises from the covalent bonding of alkoxysilane groups to the silicon oxide surface. The deconvolution of the broad SiO₂ signal further resolves a second component at 100.3 eV, which can be attributed to intermediate oxidation states of silicon75. Notably, the bare Si substrate lacked the Si–O–silane signal, whereas all SAM-functionalized surfaces exhibited a well-defined peak at 102.6 eV. Angle-resolved XPS measurements from prior studies further support this assignment, as the relative intensity of the Si–O–silane peak increased from 24.1% to 50.8% when the analysis angle was adjusted from 45° to 5°, confirming the interfacial silane bonding characteristic of SAM-modified surfaces76. These results confirm that the characteristic peak observed at 102.6 eV BE corresponds to Si–O-silane bonding77,78. Further evidence of SAM functionalization is provided by the C 1s core-level spectra (Fig. 4e–h). The 284.9 eV peak, observed across all SAM-modified samples, corresponds to C–C/C–H bonds in the alkyl or aromatic moiety of the SAM molecules, while the 286.0 eV peak correspond to C–O and C=N bonds, indicative of the benzylimine and salicylaldimine functionalities in BITES and 2-HBITES, respectively79,80. As expected, bare Si surfaces also exhibited C 1s signals due to inevitable hydrocarbon contamination from ambient exposure81,82. The presence of the imine moiety in BITES and 2-HIBTES SAMs was further confirmed by N 1s core spectra (Fig. 4k, l), where a well-defined peak at 399.1 eV was observed, corresponding to the C=N bond of the benzylimine structure83,84.
Fig. 4 XPS analysis of elemental composition and chemical states on SAM-modified Si surfaces. [Images not available. See PDF.]
High resolution XPS spectra of Si 2p for the a bare Si b OTS modified Si c 2-HBITES d BITES modified Si, C 1s for the e bare Si f OTS modified Si g 2-HBITES h BITES modified Si and N 1s for the i bare Si j OTS modified Si k 2-HBITES l BITES modified Si.
Relative grafting density of SAMs via ToF-SIM image analysis
To evaluate the influence of SAM terminal groups on Ru interdiffusion, we first verified the relative packing densities of SAMs on the Ox/Si substrate. A lower grafting density could facilitate metal ion penetration into the dielectric, promoting silicides formation and resulting in a lower onset temperature for interdiffusion28,85. Conventionally, quartz crystal microbalance (QCM) measurements are employed to precisely determine SAMs grafting densities86. However, due to the high cost and limited accessibility of QCM instruments, we utilized ToF-SIMS as an alternative technique. TOF-SIMS ion imaging provides a high-resolution spatial distribution surface elements87,88, enabling quantitative analysis over a larger surface area compared to XPS. In this study, ToF-SIMS was used to analyze CN⁻ ion fragments on Si/Ox substrates. Specifically, CN- ion fragments, indicative of C–N bonds in BITES and 2-HBITES, were detected at intensities more than two orders of magnitude higher than those of bare Si/Ox substrate (Fig. 5a–c). For OTS-modified substrates, which lack C–N bonds, we monitored the C⁻ ion fragment signal and compared it with that of the bare Si/Ox substrate (Fig. 5d, e). Although the total counts for C⁻ were less pronounced than for CN⁻, the OTS-modified substrate still exhibited a higher signal than bare Si/Ox, confirming the successful formation of a monolayer. This initial TOF-SIMS analysis confirms that OTS, BITES, and HBITES were grafted at comparable densities, providing a consistent starting point for evaluating their interdiffusion barrier properties. A subsequent TOF-SIMS depth profile analysis will revisit these same SAM systems after Ru deposition and thermal annealing to assess their retention and barrier integrity at the metal–SiO₂ interface.
Fig. 5 ToF-SIMS ion image analysis of SAM-modifid and bare Si substrate. [Images not available. See PDF.]
ToF-SIMs ion images showing the distribution of CN- negative ion (m/z 26) on a bare silicon b BITES-modified Si c 2-HBITES-modified Si. C- negative ion (m/z 12) on d bare silicon e OTS-modified Si. TC is the total number of counts for each image.
Diffusion barrier performance of SAM-modified substrates
To ensure the reliability of Ru-based interconnects, it is essential to suppress Ru silicide formation at elevated temperatures. In this study, the interdiffusion behavior of Ru on both non-functionalized and SAM-functionalized Ru/Ox/Si substrates was systematically examined using RTA at temperatures ranging from 600 to 800 °C for 30 min. The diffusion barrier effectiveness of SAMs with different terminal groups was evaluated using XRD, TEM, STEM, selected area electron diffraction (SAED), energy-dispersive X-ray spectroscopy (EDS), and sheet resistance measurements28. This investigation was conducted in three stages: (i) establishing the Ru interdiffusion baseline using non-functionalized Si substrates, (ii) evaluating the inhibition efficiency of SAM-functionalized substrates categorized by terminal groups (OTS, BITES, and 2-HBITES), and (iii) comparing the onset temperature of Ru interdiffusion based on sheet resistance trends.
To establish the temperature at which Ru interdiffusion occurs in the absence of a SAM diffusion barrier, the Ru/Ox/Si system was first analyzed. XRD spectra revealed the emergence of Ru₂Si₃ and metastable RuSi phases as early as 600–650 °C, indicating the initial stage of Ru silicide formation (Fig. 6a). Complete transformation to Ru₂Si₃ was observed at 700 °C, as confirmed by a sharp increase in resistivity and the pronounced Ru₂Si₃ diffraction peaks (Fig. 6a). TEM cross-section imaging at 700 °C showed significant delamination of the Ru film from the underlying SiOx layer, accompanied by an increase in Ru layer thickness, indicating Ru–Si interdiffusion (Fig. 6b, c). This observation is consistent with well-established interdiffusion behavior of Ru thin films on Si substrates at elevated temperatures. In Ru/Si system, Si is generally the dominant diffusing species, facilitating the formation ruthenium silicide phases such as RuSi and Ru2Si389. SAED patterns collected at the Ru/Ox interface exhibited diffraction rings corresponding to polycrystalline Ru₂Si₃, including the (102), (212), (220), and (311) planes, further verifying the complete phase transformation of Ru (Fig. 6d). STEM-EDS mapping confirmed extensive Ru and Si interdiffusion, with a significant overlap of Ru and Si signals extending into the Si layer (Fig. 6e).
Fig. 6 Phase identification of ruthenium silicide based on XRD patterns for Ru on Si/Ox substrates modified with SAMs. [Images not available. See PDF.]
a XRD patterns at varying annealing temperatures; b–d TEM images of Ru/Ox/Si annealed at 700 °C, with dashed squares indicating the regions enlarged in the corresponding images and red boxes marking the exact locations where the SAED patterns were recorded; e STEM image and the corresponding EDS elemental mappings of Ru and Si after annealing at 700 °C.
Following the characterization of the non-functionalized Ru/Ox/Si system, we investigated the ability of OTS-, BITES-, and 2-HBITES-functionalized substrates to suppress Ru diffusion. Across all SAM-modified substrates, a delayed onset of Ru silicide formation was observed compared to the non-functionalized Si control. TEM imaging further confirmed the presence of a smoother Ru/Ox interface in SAM-functionalized samples, indicative of enhanced interfacial adhesion. Additionally, STEM-EDS mapping revealed a substantial reduction in Ru–Si interdiffusion in SAM-modified samples. Each SAM exhibited a different level of diffusion inhibition, which was analyzed in detail.
For the OTS-functionalized Si, which features a CH₃ terminal group, XRD analysis showed that complete Ru₂Si₃ formation was delayed to 725 °C, a 25 °C increase over the non-functionalized control (Fig. 7a). TEM imaging revealed a smoother interface than the control sample, though some Ru interdiffusion was observed. SAED patterns confirmed polycrystalline RuSi (111) formation along with residual metallic Ru (Fig. 7b–d). STEM-EDS mapping showed partial Ru and Si overlap, indicating some diffusion into SiOx (Fig. 7e). These findings suggest that while OTS improved interfacial adhesion and partially inhibited Ru diffusion, it did not completely prevent Ru silicide formation.
Fig. 7 Phase identification of ruthenium silicide based on XRD patterns for Ru on Si/Ox substrates modified with SAMs. [Images not available. See PDF.]
a XRD patterns of Ru/OTS/Ox/Si annealed at varying temperatures; b–d TEM images of Ru/OTS/Ox/Si annealed at 700 °C, with red box marking the exact locations where the SAED patterns were recorded; e STEM image and corresponding EDS elemental mappings of Ru and Si after annealing at 700 °C.
In contrast, the BITES-functionalized Si exhibited superior performance as a diffusion barrier. XRD analysis confirmed that complete Ru₂Si₃ formation was further delayed to 775 °C, a 25 °C improvement over OTS (Fig. 8a) TEM imaging showed an intact Ru film with a well-defined interface, minimizing interfacial roughness (Fig. 8b, c) SAED patterns indicated that polycrystalline Ru remained dominant, with no significant RuSi formation at lower temperatures (Fig. 8d). STEM-EDS mapping revealed markedly reduced Ru–Si overlap compared to OTS-functionalized Si (Fig. 8d). These results suggest that the benzylimine terminal group in BITES enhances Ru adhesion and significantly suppresses Ru diffusion compared to OTS.
Fig. 8 Phase identification of ruthenium silicide based on XRD patterns for Ru on Si/Ox substrate modified with BITES. [Images not available. See PDF.]
a XRD patterns of Ru/BITES/Ox/Si annealed at varying temperatures; b–d TEM images of Ru/BITES/Ox/Si annealed at 700 °C, with red box marking the exact locations where the SAED patterns were recorded; e STEM image and corresponding EDS elemental mappings of Ru and Si after annealing at 700 °C.
Among the SAM-functionalized substrates, 2-HBITES exhibited the greatest inhibition of Ru interdiffusion. XRD analysis demonstrated that Ru silicide formation was delayed until 800 °C, making 2-HBITES the most effective diffusion barrier (Fig. 9a). TEM imaging revealed a smooth, stable Ru/Ox interface with no visible evidence of Ru diffusion. SAED analysis at the interface revealed a series of diffraction rings corresponding to the polycrystalline Ru, specifically the (10-10), (10,-11), (0002) planes, confirming the presence of metallic Ru without RuSi-related diffraction peaks. This observation is consistent with the XRD results. However, STEM-EDS mapping detected minimal Ru interdiffusion into the Si layer, exhibiting a slight Ru–Si overlap, compared to the BITES- and OTS-functionalized Si (Fig. 9e). These findings validate that the hydroxybenzylimine terminal group in 2-HBITES provides the strongest Ru chelation, effectively suppressing Ru interdiffusion and demonstrating the highest diffusion barrier performance among the SAMs studied.
Fig. 9 Phase identification of ruthenium silicide based on XRD patterns for Ru on Si/Ox substrates modified with 2-HBITES. [Images not available. See PDF.]
a XRD patterns of Ru/2-HBITES/Ox/Si annealed at varying temperatures; b–d TEM images of Ru/2-HBITES/Ox/Si annealed at 700 °C, with dashed squares indicating the enlarged regions and red boxes marking the exact locations where the SAED patterns were recorded; e STEM image and corresponding EDS elemental mappings of Ru and Si after annealing at 700 °C.
Sheet resistance measurements serve as a valuable metric for assessing the onset of Ru interdiffusion, providing a quantitative means of evaluating the thermal stability of SAM-functionalized interfaces. As Ru silicide formation progresses, increased carrier scattering and altered film conductivity result in a sharp rise in sheet resistance, allowing for a direct correlation between interdiffusion onset and annealing temperature28. By tracking resistivity changes as a function of temperature, the effectiveness of SAMs with different terminal groups in inhibiting Ru interdiffusion can be systematically compared. Notably, the non-functionalized Ru/Ox/Si exhibited Ru silicide onset at 700 °C, whereas OTS-, BITES-, and 2-HBITES-functionalized Si exhibited delayed onsets at 725 °C, 775 °C, and 800 °C, respectively (Fig. 10). These results align closely with the binding affinities of the SAM terminal groups, where Ru–salicylaldimine (2-HBITES) exhibits the strongest chelation (32.7 kcal/mol), followed by Ru–benzylimine (BITES, 13.9 kcal/mol), while the CH₃-terminal in OTS provides only weak van der Waals interactions36,90. The correlation between molecular binding strength and diffusion barrier performance suggests that aromatic-based SAMs with metal-chelating functional groups offer superior thermal and chemical stability. By rationally designing SAMs with optimized metal-binding capabilities, the reliability and stability of Ru-based interconnects can be significantly improved, presenting a promising approach for next-generation interconnect technology.
Fig. 10 Sheet resistance evolution with annealing for Ru/SAM/Ox substrates. [Images not available. See PDF.]
Sheet resistance variation for Ru/Ox/Si, Ru/OTS/Ox/Si, Ru/BITES/Ox/Si and Ru/2-HBITES/Ox/Si layers as a function of annealing temperature.
In integrated circuit (IC) processing, ensuring device reliability is paramount91, 92–93. Even minimal interdiffusion resulting from barrier failure can significantly degrade device performance94,95. Our experiments demonstrated that complete interdiffusion is required to produce a measurable change in resistivity. To detect the onset of interdiffusion, we employed XRD to identify the emergence of ruthenium silicide peaks in thermally treated samples. Barrier failure was defined by the appearance of a single Ru silicide peak in the XRD analysis. To quantify failure rates as a function of annealing temperature, each experiment was performed at least three times per substrate. The results, presented in Fig. 11, indicate that the bare Ru/Ox/Si substrate exhibited an 80% failure rate after RTA at 600 °C for 30 min, with complete failure occurring at 700 °C. In contrast, SAM-functionalized substrates exhibited significantly lower failure rates of 50%, 40%, and 0% for Ru/OTS/Ox/Si, Ru/BITES/Ox/Si, and Ru/2-HBITES/Ox/Si, respectively, at 600 °C. These findings confirm the effectiveness of SAMs as diffusion barriers in advanced interconnects. Notably, the substrate functionalized with 2-HBITES completely inhibited interdiffusion at 600 °C, underscoring the critical role of SAM terminal groups in determining barrier performance and reliability.
Fig. 11 Barrier failure rate as a function of annealing temperature. [Images not available. See PDF.]
Comparison of barrier failure percentages for Ru/Ox/Si and Ru/SAM/OX/Si samples across a range of annealing temperatures.
Evaluation of SAM-enhanced adhesion in metal–dielectric interfaces
TEM images of Ru/SAM/SiOx/Si indicate enhanced adhesion of the Ru layer to the underlying SiOx/Si substrate compared to the non-functionalized sample. Here, we quantify the effect of SAMs in improving metal–dielectric adhesion to mitigate delamination and electromigration, which can lead to voids and defects within interconnect structures5,96,97. To efficiently evaluate adhesion strength (σc), we employed a nanoscratch method, as shown in Fig. 12 and detailed in Table S2. Adhesion studies were limited to 400 °C in accordance with standard BEOL thermal budgets (<400–450 °C) commonly required in advanced CMOS fabrication flows98,99. Nanoscratch analysis revealed that the 2-HBITES-modified Si/Ox substrate exhibited a threefold enhancement in adhesion compared to the bare Ru/Ox/Si substrate after annealing. In contrast, BITES- and OTS-modified substrates demonstrated approximately a 10% improvement. The superior adhesion performance of 2-HBITES is attributed to strong complexation between Ru and the 2-hydroxylbenzylimine moiety, which exhibits a higher BE (32.7 kcal mol⁻¹) than the Ru–C=N complex in BITES (13.9 kcal mol⁻¹)100, 101–102. This increased BE accounts for the enhanced adhesion strength observed with HBITES functionalization. Interestingly, HBITES-functionalized substrate demonstrated a twofold increase in adhesion strength after RTA at 400 °C (Fig. 12). This result can be attributed to irreversible dehydration between siloxane groups and the surface during RTA, leading to the formation of covalent bonds that reinforce the interaction between the silane group and the substrate23,103,104.
Fig. 12 Interfacial bonding strength before and after annealing. [Images not available. See PDF.]
Bar graphs showing the quantified interface bonding strength measured via nanoscratch test for bare Si, OTS modified substrate, BITES modified substrate and 2-HBITES modified substrate.
ToF-SIMS depth profile analysis of Ru–Si Interdiffusion and SAM Retention
As established earlier, all three SAMs were present at comparable grafting densities prior to high-temperature treatment. Here, we employ TOF-SIMS depth profiling to evaluate these same SAM layers after Ru deposition and annealing, focusing on Ru interdiffusion behavior and the detection of CN⁻ ion fragments as evidence of SAM retention at the Ru–Si interface. Figure 13 presents the ToF-SIMS depth profiles, revealing distinct differences in Ru–Si interdiffusion behavior between functionalized and non-functionalized samples. In the non-functionalized Ru/Ox/Si sample, depth profiling data exhibited a significant overlap of Ru and Si signals following RTA at 700 °C for 30 min, indicating extensive Ru–Si interdiffusion and the formation of ruthenium silicide at the interface105,106 (Fig. 13a, b). This interdiffusion is driven by the thermal activation of Ru and Si atoms, leading to the breakdown of the oxide layer and facilitating metal–semiconductor interaction107,108. The progressive increase in Si signal within the Ru layer confirms the upward diffusion of Si atoms, supporting the formation of RuSix species109. Such interdiffusion is detrimental to interconnect reliability, as it alters the electrical and mechanical properties of the Ru film, potentially leading to resistivity variations and structural instability. In contrast, ToF-SIMS depth profiling of SAM-functionalized Ru/SAM/Ox/Si samples (Fig. 13c–h) showed a markedly different trend. The Si signal remained low in the upper Ru layer, suggesting that Ru–Si interdiffusion was effectively suppressed by the presence of the SAM layer. The functionalized interface maintained its structural integrity even after high-temperature annealing, indicating that SAMs serve as an effective diffusion barrier by preventing direct contact between Ru and SiOx. This observation aligns with previous studies demonstrating the ability of SAMs to modulate interfacial interactions and inhibit atomic diffusion under thermal stress.
Fig. 13 ToF-SIMS depth profiling of Ru/Ox/Si and Ru/SAM/Ox/Si substrates before and after thermal treatment. [Images not available. See PDF.]
Tof-SIMS depth profiles for Ru/Si/Ox a as-deposition b after RTA treatment 700 °C 30 min. ToF-SIMS depth profile of Ru/BITES/Ox/Si c as-deposition d after RTA treatment 700 °C 30 min. e Average maximum intensity of the CN– ion peak and Ru/2-HBITES/Ox/Si f as-deposition g after RTA treatment 700 °C 30 min. h Average maximum intensity of the CN– ion peak observed in depth profiling experiments.
Beyond interdiffusion analysis, depth profiling also provided insights into the retention of the SAM layer through the detection of CN⁻ ion fragments at the Ru–Si interface110,111. In both pre- and post-annealed Ru/SAM/Ox/Si samples, CN⁻ ion intensity was an order of magnitude higher than in the non-functionalized Ru/Ox/Si sample, confirming the presence of the SAM layer even after Ru deposition and annealing (Fig. 13e, h). While a moderate reduction in CN⁻ intensity was observed after RTA, the signal remained substantially elevated compared to the non-functionalized substrate, suggesting that the majority of the SAM layer persisted despite high-temperature exposure. This reduction is likely due to partial volatilization of physically adsorbed molecules at elevated temperatures, a phenomenon consistent with previous studies on SAM thermal stability112. In contrast, Ru/Ox/Si samples exhibited relatively stable but significantly lower CN⁻ signals before and after annealing, indicating that any detected CN⁻ in non-functionalized samples likely originates from adventitious organic contamination introduced during sample handling113, 114–115.
Thermal stability limits for SAM interdiffusion barrier function
To further confirm that SAMs remain structurally retained at the interface under high-temperature processing conditions, we investigated their thermal stability upon annealing at 700 °C, mimicking the sandwiched Ru/SAM/Ox/Si configuration. The thermal degradation of alkoxysilane-based SAMs on silicon has been extensively studied, with reported degradation onset temperatures ranging from 250 to 450 °C116. These studies primarily assess elemental composition changes, such as variations in carbon and nitrogen content, at different annealing temperatures117,118. However, most of these investigations have been conducted on open surfaces, leaving the stability of SAMs in a sandwiched configuration largely unexplored. While ToF-SIMS depth profiling (Fig. 13) indicated SAM retention through CN⁻ ion detection after RTA at 700 °C for 30 min, CN⁻ signal monitoring alone is insufficient to determine whether the SAM remains structurally intact at the Ru/Si interface. Previous studies have shown that alkoxysilane-based SAMs on open surfaces undergo complete evaporation between 220 °C and 500 °C119, 120–121. In a related study, boronated SAMs encapsulated within a 30–50 nm SiO₂ capping layer enabled boron doping into a silicon substrate, even after annealing at 900 °C for 1 min122,123. In contrast, control experiments without the SiO₂ capping layer showed no boron doping, suggesting that the SAM evaporated or decomposed at elevated temperatures122,123. However, this study did not provide direct spectroscopic evidence confirming SAM retention post-annealing temperatures.
To address this knowledge gap, we directly evaluated SAM retention within the Ru/SAM/Ox/Si sandwich structure. A SAM-modified Si substrate was covered with an additional Si substrate to replicate the Ru/SAM/Ox/Si configuration and subjected to RTA at 700 °C for 30 min. The upper limit of 700 °C for the thermal stability study was selected because it represents the highest temperature at which all three SAMs (OTS, BITES, and HBITES) retain at least partial interdiffusion barrier function, thereby enabling a direct and meaningful comparison of their effectiveness under identical thermal stress conditions. After removing the top Si substrate, XPS was performed to analyze the chemical composition of the remaining SAM on the SiOx surface124,125. As shown in Fig. 14a–d, the silane peak at 102.6 eV remained detectable in SAM-modified samples, consistent with the XPS analysis of the as-deposited SAM on the Si substrate. Additionally, the N 1s signal (Fig. 14f, g) confirmed the presence of C=N–C imine bonds in BITES- and 2-HBITES-modified SiOx. In contrast, no N 1s signal was detected in the bare SiOx control sample, verifying the retention of benzylimine and salicylaldimine functionalities in BITES- and 2-HBITES-modified substrates, respectively. To quantify SAM retention, Table S3 presents the area under the curve (AUC) for the silane peak centered at 102.6 eV before and after RTA at 700 °C. A slight decrease in AUC was observed in all SAM-modified samples post-annealing, likely due to partial evaporation and degradation at high temperatures, exacerbated by the simple sandwich structure used in this study. Despite this minor reduction, the majority of the SAM layer remained intact, effectively serving as a diffusion barrier against Ru interdiffusion. In conclusion, a combination of ToF-SIMS depth profiling and XPS analysis provides strong evidence that SAMs remain structurally retained within the Ru/SAM/Ox/Si sandwiched configuration after annealing at 700 °C for 30 min. This study represents the first investigation into the thermal stability and structural integrity of SAMs in a sandwiched configuration. The experimental evidence presented here demonstrates that SAMs maintain their stability at elevated temperatures, effectively serving as diffusion barriers to mitigate Ru interdiffusion while simultaneously enhancing adhesion at the metal/dielectric interface.
Fig. 14 XPS Characterization of SAM-Modified Si Surfaces After RTA Treatment at 700 °C. [Images not available. See PDF.]
XPS spectrum after RTA treatment at 700 °C for Si 2p with a bare Si b BITES c 2-HBITES and d OTS modified Si. XPS spectrum of the N1s with e bare Si f BITES and g 2-HBITES modified Si.
Conclusion
This study highlights the critical role of SAM terminal groups in inhibiting Ru interdiffusion and enhancing adhesion strength at the Ru/Ox/Si interface. The non-functionalized Ru/Ox/Si substrate exhibited Ru interdiffusion at 600 °C, leading to silicide formation, increased sheet resistance, and interfacial delamination at 700 °C. In contrast, SAM-functionalized substrates effectively suppressed Ru diffusion, with interdiffusion onset temperatures increasing to 725 °C for OTS, 775 °C for BITES, and 800 °C for HBITES-functionalized interfaces. All SAM-functionalized substrates maintained structural integrity and effectively prevented void formation and delamination at the Ru/Ox interface, even after RTA at 700 °C, as confirmed by TEM and STEM imaging. Notably, 2-HBITES demonstrated the most significant adhesion enhancement, improving Ru/Ox/Si adhesion strength by threefold compared to the non-functionalized Ru/Ox/Si system. This adhesion strength further increased by another twofold after RTA at 400 °C, highlighting the stability of the SAM-modified interface under thermal processing. The robust adhesion properties of 2-HBITES can be attributed to its hydroxybenzylimine terminal group, which facilitates strong chelation with Ru and enhances interfacial bonding. Furthermore, XPS and ToF-SIMS analyses demonstrated that SAMs remained largely intact within the Ru/SAM/SiOx/Si sandwiched configuration after high-temperature processing, reinforcing their role as robust interfacial barriers. By molecularly tailoring SAM terminal groups, it is possible to optimize interfacial adhesion, suppress metal interdiffusion, and improve the long-term thermal stability of Ru-based interconnects. These findings establish SAMs as a promising, scalable approach for advanced BEOL applications, enabling enhanced device reliability and performance.
Acknowledgements
The authors acknowledge the Instrumentation Center at National Tsing Hua University for technical support. Special thanks are extended to Mr. Jin-Wei Lu for his assistance with high-resolution HRTEM and SAED.
Author contributions
Hong-Yi Wu: Investigation, validation, Writing – original draft. Yi-Ying Fang: Investigation. Yu-Lin Chen: Investigation. Jung-Fu Lu: Investigation. Ming-Yen Lu: Validation, supervision. Shou-Yi Chang: Validation, supervision. Pei Yuin Keng: Conceptualization, Methodology, Resources, Writing- review & editing, Supervision.
Funding
This project was supported by funding from the National Science and Technology Council (NSTC 112-2221-E-007-042 and NSTC 113-2221-E-007-053) and Taiwan Semiconductor Manufacturing Company (TSMC).
Competing interests
The authors declare no competing interest.
Ethics approval and consent to participate
This research does not involve any live vertebrates or human research participants. All methods were performed in accordance with the relevant guidelines and regulations.
Declaration of generative AI and AI-assisted technologies in the writing process
During the preparation of this work the author(s) used ChatGPT to improve English writing. After using this tool/service, the author(s) reviewed and edited the content as needed and take(s) full responsibility for the content of the publication.
Supplementary information
The online version contains supplementary material available at https://doi.org/10.1038/s41427-025-00627-2.
Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.
1. Moore, G. E. Cramming more components onto integrated circuits, reprinted from electronics, volume 38, Number 8, April 19, 1965, Pp. 114 Ff. IEEE Solid-State Circuits Soc. Newsl. 11, 33–35 (2006).
2. Kim, J-S et al. Addressing interconnect challenges for enhanced computing performance. Science; 2024; 386, 1:CAS:528:DC%2BB2cXislKks73O [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/39666811][DOI: https://dx.doi.org/10.1126/science.adk6189] eadk6189.
3. Kim, H-W. Recent trends in copper metallization. Electronics; 2022; 11, 2914. [DOI: https://dx.doi.org/10.3390/electronics11182914]
4. Adelmann, C. et al. Alternative metals for advanced interconnects. In Proc. IEEE International Interconnect Technology Conference (IEEE: San Hose, CA, USA, 2014) 173–176. https://doi.org/10.1109/IITC.2014.6831863.
5. Croes, K. et al. Interconnect metals beyond copper: reliability challenges and opportunities. In Proc. 2018 IEEE International Electron Devices Meeting (IEDM) (IEEE: San Francisco, CA, 2018) 5.3.1–5.3.4. https://doi.org/10.1109/IEDM.2018.8614695.
6. Tierno, D et al. Cobalt and ruthenium drift in ultra-thin oxides. Microelectron. Reliab.; 2019; 100–101, [DOI: https://dx.doi.org/10.1016/j.microrel.2019.113407] 113407.
7. Xu, H et al. Effect of thickness scaling on the permeability and thermal stability of Ta(N) diffusion barrier. Appl. Surf. Sci.; 2019; 498, 1:CAS:528:DC%2BC1MXhslOktrjI [DOI: https://dx.doi.org/10.1016/j.apsusc.2019.143887] 143887.
8. Karabacak, T. Lu, T.-M. Enhanced step coverage by oblique angle physical vapor deposition. J. Appl. Phys. 97https://doi.org/10.1063/1.1937476 (2005).
9. Wang, S-Q; Schlueter, J; Gondran, C; Boden, T. Step coverage comparison of Ti/TiN deposited by collimated and uncollimated physical vapor deposition techniques. J. Vac. Sci. Technol. B; 1996; 14, pp. 1846-1852.1:CAS:528:DyaK28XktVeqs70%3D [DOI: https://dx.doi.org/10.1116/1.588565]
10. Lo, C-L et al. Opportunities and challenges of 2D materials in back-end-of-line interconnect scaling. J. Appl. Phys.; 2020; 128, 1:CAS:528:DC%2BB3cXhslWqtrjM [DOI: https://dx.doi.org/10.1063/5.0013737] 080903.
11. Ciofi, I et al. Impact of wire geometry on interconnect RC and circuit delay. IEEE Trans. Electron Devices; 2016; 63, pp. 2488-2496.1:CAS:528:DC%2BC2sXmsFOqt7o%3D [DOI: https://dx.doi.org/10.1109/TED.2016.2554561]
12. Ahmed, M; Li, Y; Chen, W; Li, E-P. Diffusion barrier prediction of graphene and boron nitride for copper interconnects by deep learning. IEEE Access; 2020; 8, pp. 210542-210549. [DOI: https://dx.doi.org/10.1109/ACCESS.2020.3039257]
13. Kuo, C-Y et al. MoS2 as an effective Cu diffusion barrier with a back-end compatible process. ACS Appl. Mater. Interfaces; 2023; 15, pp. 47845-47854.1:CAS:528:DC%2BB3sXitVSjt7bN [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/37768847][DOI: https://dx.doi.org/10.1021/acsami.3c12267]
14. Kozhakhmetov, A; Torsi, R; Chen, CY; Robinson, JA. Scalable low-temperature synthesis of two-dimensional materials beyond graphene. J. Phys. Mater.; 2020; 4, 012001. [DOI: https://dx.doi.org/10.1088/2515-7639/abbdb1]
15. Neumaier, D; Pindl, S; Lemme, MC. Integrating graphene into semiconductor fabrication lines. Nat. Mater.; 2019; 18, pp. 525-529.1:CAS:528:DC%2BC1MXhtVagsbbK [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/31114067][DOI: https://dx.doi.org/10.1038/s41563-019-0359-7]
16. Hoofman, RJOM et al. Challenges in the implementation of low-k dielectrics in the back-end of line. Microelectron. Eng.; 2005; 80, pp. 337-344.1:CAS:528:DC%2BD2MXltVSrtLo%3D [DOI: https://dx.doi.org/10.1016/j.mee.2005.04.088]
17. Lee, S-E et al. Surface-functionalized interfacial self-assembled monolayers as copper electrode diffusion barriers for oxide semiconductor thin-film transistor. ACS Appl. Electron. Mater.; 2019; 1, pp. 430-436.1:CAS:528:DC%2BC1MXivVOgtbg%3D [DOI: https://dx.doi.org/10.1021/acsaelm.8b00132]
18. Khaderbad, MA et al. Porphyrin self-assembled monolayer as a copper diffusion barrier for advanced CMOS technologies. IEEE Trans. Electron Devices; 2012; 59, pp. 1963-1969.1:CAS:528:DC%2BC38XhtFOhsLjK [DOI: https://dx.doi.org/10.1109/TED.2012.2195184]
19. Liu, X; Wang, Q; Wu, S; Liu, Z. Enhanced CVD of copper films on self-assembled monolayers as ultrathin diffusion barriers. J. Electrochem. Soc.; 2006; 153, C142.1:CAS:528:DC%2BD28XhtlOjs74%3D [DOI: https://dx.doi.org/10.1149/1.2158577]
20. Weiss, EA et al. Influence of defects on the electrical characteristics of mercury-drop junctions: self-assembled monolayers of n-alkanethiolates on rough and smooth silver. J. Am. Chem. Soc.; 2007; 129, pp. 4336-4349.1:CAS:528:DC%2BD2sXislKrtbs%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/17358061][DOI: https://dx.doi.org/10.1021/ja0677261]
21. Witt, C. et al. Testing the limits of TaN barrier scaling. In Proc. 2018 IEEE International Interconnect Technology Conference (IITC) 2018; 54–56. https://doi.org/10.1109/IITC.2018.8430289.
22. Maitani, MM; Daniel, TA; Cabarcos, OM; Allara, DL. Nascent metal atom condensation in self-assembled monolayer matrices: coverage-driven morphology transitions from buried adlayers to electrically active metal atom nanofilaments to overlayer clusters during aluminum atom deposition on alkanethiolate/gold monolayers. J. Am. Chem. Soc.; 2009; 131, pp. 8016-8029.1:CAS:528:DC%2BD1MXmsVegtb0%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/19507902][DOI: https://dx.doi.org/10.1021/ja901376w]
23. Gandhi, DD et al. Annealing-induced interfacial toughening using a molecular nanolayer. Nature; 2007; 447, pp. 299-302.1:CAS:528:DC%2BD2sXltlWhsLo%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/17507979][DOI: https://dx.doi.org/10.1038/nature05826]
24. Chung, Y et al. Coupled self-assembled monolayer for enhancement of Cu diffusion barrier and adhesion properties. RSC Adv.; 2014; 4, pp. 60123-60130.1:CAS:528:DC%2BC2cXhvVGkur7F [DOI: https://dx.doi.org/10.1039/C4RA08134J]
25. Parambadath, S; Mathew, A; Mohan, A; Ha, C-S. Chelation dependent selective adsorption of metal ions by schiff base modified SBA-15 from aqueous solutions. J. Environ. Chem. Eng.; 2020; 8, 1:CAS:528:DC%2BB3cXhsVert7rM [DOI: https://dx.doi.org/10.1016/j.jece.2020.104248] 104248.
26. De Clercq, B; Verpoort, F. Ring-closing metathesis, kharasch addition and enol ester synthesis catalysed by a novel class of ruthenium(II) complexes. Tetrahedron Lett.; 2001; 42, pp. 8959-8963. [DOI: https://dx.doi.org/10.1016/S0040-4039(01)01952-9]
27. De Clercq, B; Verpoort, F. A new class of ruthenium complexes containing schiff base ligands as promising catalysts for atom transfer radical polymerization and ring opening metathesis polymerization. J. Mol. Catal. Chem.; 2002; 180, pp. 67-76. [DOI: https://dx.doi.org/10.1016/S1381-1169(01)00451-4]
28. Maestre Caro, A; Maes, G; Borghs, G; Whelan, CM. Screening self-assembled monolayers as Cu diffusion barriers. Microelectron. Eng.; 2008; 85, pp. 2047-2050.1:CAS:528:DC%2BD1cXhtFOgsb%2FM [DOI: https://dx.doi.org/10.1016/j.mee.2008.04.014]
29. Lee, S et al. Tailored self-assembled monolayer using chemical coupling for indium–gallium–zinc oxide thin-film transistors: multifunctional copper diffusion barrier. ACS Appl. Mater. Interfaces; 2022; 14, pp. 56310-56320.1:CAS:528:DC%2BB38XjtVWksbjM [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/36461928][DOI: https://dx.doi.org/10.1021/acsami.2c16593]
30. Organosiloxane nanolayer as diffusion barrier for Cu metallization on Si. Appl. Surf. Sci. 567, 150800. https://doi.org/10.1016/j.apsusc.2021.150800 (2021).
31. Mikami, N; Hata, N; Kikkawa, T; Machida, H. Robust self-assembled monolayer as diffusion barrier for copper metallization. Appl. Phys. Lett.; 2003; 83, pp. 5181-5183.1:CAS:528:DC%2BD3sXpvFOisL8%3D [DOI: https://dx.doi.org/10.1063/1.1635665]
32. Krishnamoorthy, A; Chanda, K; Murarka, SP; Ramanath, G; Ryan, JG. Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization. Appl. Phys. Lett.; 2001; 78, pp. 2467-2469.1:CAS:528:DC%2BD3MXislKlu7c%3D [DOI: https://dx.doi.org/10.1063/1.1365418]
33. Caro, AM et al. Bottom-up engineering of subnanometer copper diffusion barriers using NH2-derived self-assembled monolayers. Adv. Funct. Mater.; 2010; 20, pp. 1125-1131.1:CAS:528:DC%2BC3cXkt1Chsbc%3D [DOI: https://dx.doi.org/10.1002/adfm.200902072]
34. Ramanath, G et al. Self-assembled subnanolayers as interfacial adhesion enhancers and diffusion barriers for integrated circuits. Appl. Phys. Lett.; 2003; 83, pp. 383-385.1:CAS:528:DC%2BD3sXltlyru7w%3D [DOI: https://dx.doi.org/10.1063/1.1591232]
35. Hsu, B-F et al. Functionalizing self-assembled monolayers to reduce interface scattering in ruthenium/dielectric for next-generation microelectronic interconnects. Appl. Surf. Sci.; 2024; 645, 1:CAS:528:DC%2BB3sXitl2ns7rL [DOI: https://dx.doi.org/10.1016/j.apsusc.2023.158870] 158870.
36. Dutta, J; Richmond, MG; Bhattacharya, S. Cycloruthenation of N-(Naphthyl)salicylaldimine and related ligands: utilization of the Ru–C bond in catalytic transfer hydrogenation. Eur. J. Inorg. Chem.; 2014; 2014, pp. 4600-4610.1:CAS:528:DC%2BC2cXpvFKjsLs%3D [DOI: https://dx.doi.org/10.1002/ejic.201402236]
37. Bernhard, P et al. Ligand dehydrogenation in ruthenium−amine complexes: reactivity of 1,2-ethanediamine and 1,1,1-tris(aminomethyl)ethane. Inorg. Chem.; 1997; 36, pp. 2804-2815.1:CAS:528:DyaK2sXjsFSls70%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/11669915][DOI: https://dx.doi.org/10.1021/ic961021q]
38. Sangeetha, S; Murali, M. Cytotoxic ruthenium(II) complexes containing a dangling pyridine: selectivity for diseased cells mediated by pH-dependent DNA binding. Inorg. Chem.; 2022; 61, pp. 2864-2882.1:CAS:528:DC%2BB38XitlGlsLs%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/35099196][DOI: https://dx.doi.org/10.1021/acs.inorgchem.1c03399]
39. Majumdar, A et al. Chemical composition and bond structure of carbon-nitride films deposited by CH4/N2 dielectric barrier discharge. Surf. Coat. Technol.; 2007; 201, pp. 6437-6444.1:CAS:528:DC%2BD2sXitFyktL4%3D [DOI: https://dx.doi.org/10.1016/j.surfcoat.2006.12.011]
40. Majumdar, A; Das, G; Basvani, KR; Heinicke, J; Hippler, R. Role of nitrogen in the formation of HC−N films by CH4/N2 barrier discharge plasma: aliphatic tendency. J. Phys. Chem. B; 2009; 113, pp. 15734-15741.1:CAS:528:DC%2BD1MXhtlOksr%2FL [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/19894689][DOI: https://dx.doi.org/10.1021/jp906654m]
41. Smith, EA; Chen, W. How to prevent the loss of surface functionality derived from aminosilanes. Langmuir; 2008; 24, pp. 12405-12409. [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/18834166][DOI: https://dx.doi.org/10.1021/la802234x]
42. Zhu, M; Lerum, MZ; Chen, W. How to prepare reproducible, homogeneous, and hydrolytically stable aminosilane-derived layers on silica. Langmuir; 2012; 28, pp. 416-423.1:CAS:528:DC%2BC3MXhsFCisLjI [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/22128807][DOI: https://dx.doi.org/10.1021/la203638g]
43. Huayao, C et al. Highly efficient alginate sodium encapsulated chlorpyrifos/copper(II) schiff base mesoporous silica sustained release system with pH and ion response for pesticide delivery. RSC Adv.; 2016; 6, pp. 114714-114721. [DOI: https://dx.doi.org/10.1039/C6RA23836J]
44. Vig, JR. UV/Ozone cleaning of surfaces. J. Vac. Sci. Technol. A; 1985; 3, pp. 1027-1034.1:CAS:528:DyaL2MXksVejurc%3D [DOI: https://dx.doi.org/10.1116/1.573115]
45. Mathieson, I; Bradley, RH. Improved adhesion to polymers by UV/ozone surface oxidation. Int. J. Adhes. Adhes.; 1996; 16, pp. 29-31.1:CAS:528:DyaK28XitVektLY%3D [DOI: https://dx.doi.org/10.1016/0143-7496(96)88482-X]
46. Fan, S et al. A novel Schiff base-containing branched polysiloxane as a self-crosslinking flame retardant for PA6 with low heat release and excellent anti-dripping performance. Compos. Part B Eng.; 2020; 183, 1:CAS:528:DC%2BB3cXmsVKktQ%3D%3D [DOI: https://dx.doi.org/10.1016/j.compositesb.2019.107684] 107684.
47. Schiff, H. Mittheilungen Aus Dem Universitätslaboratorium in Pisa: Eine Neue Reihe Organischer Basen. Justus Liebigs Ann. Chem.; 1864; 131, pp. 118-119. [DOI: https://dx.doi.org/10.1002/jlac.18641310113]
48. Ghorbanloo, M; Monfared, HH; Janiak, C. The catalytic function of a silica gel-immobilized Mn(II)-hydrazide complex for alkene epoxidation with H2O2. J. Mol. Catal. Chem.; 2011; 345, pp. 12-20.1:CAS:528:DC%2BC3MXptlant70%3D [DOI: https://dx.doi.org/10.1016/j.molcata.2011.05.014]
49. Remaud, G; Debon, AA; Martin, Y; Martin, GG; Martin, GJ. Authentication of bitter almond oil and cinnamon oil: application of the SNIF-NMR method to benzaldehyde. J. Agric. Food Chem.; 1997; 45, pp. 4042-4048.1:CAS:528:DyaK2sXmvVGjsbo%3D [DOI: https://dx.doi.org/10.1021/jf970143d]
50. Seitz, O et al. Control and stability of self-assembled monolayers under biosensing conditions. J. Mater. Chem.; 2011; 21, 4384.1:CAS:528:DC%2BC3MXivFyns7c%3D [DOI: https://dx.doi.org/10.1039/c1jm10132c]
51. Rozlosnik, N; Gerstenberg, MC; Larsen, NB. Effect of solvents and concentration on the formation of a self-assembled monolayer of octadecylsiloxane on silicon (001). Langmuir; 2003; 19, pp. 1182-1188.1:CAS:528:DC%2BD3sXksFCmsg%3D%3D [DOI: https://dx.doi.org/10.1021/la025906s]
52. Spinke, J et al. Molecular recognition at self-assembled monolayers: optimization of surface functionalization. J. Chem. Phys.; 1993; 99, pp. 7012-7019.1:CAS:528:DyaK2cXhtFOlu7Y%3D [DOI: https://dx.doi.org/10.1063/1.465447]
53. Gong, Y; Wang, MCP; Zhang, X; Ng, HW; Gates, BD. Optimizing the quality of monoreactive perfluoroalkylsilane-based self-assembled monolayers. Langmuir; 2012; 28, pp. 11790-11801.1:CAS:528:DC%2BC38XhtVSmur3L [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/22784021][DOI: https://dx.doi.org/10.1021/la301742s]
54. Fryxell, GE et al. Nucleophilic displacements in mixed self-assembled monolayers. Langmuir; 1996; 12, pp. 5064-5075.1:CAS:528:DyaK28XlvVShu7g%3D [DOI: https://dx.doi.org/10.1021/la9506842]
55. Bunker, BC et al. The impact of solution agglomeration on the deposition of self-assembled monolayers. Langmuir; 2000; 16, pp. 7742-7751.1:CAS:528:DC%2BD3cXlvFOqu74%3D [DOI: https://dx.doi.org/10.1021/la000502q]
56. Angst, DL; Simmons, GW. Moisture absorption characteristics of organosiloxane self-assembled monolayers. Langmuir; 1991; 7, pp. 2236-2242.1:CAS:528:DyaK3MXlvFSntb0%3D [DOI: https://dx.doi.org/10.1021/la00058a043]
57. Singh, M; Kaur, N; Comini, E. The role of self-assembled monolayers in electronic devices. J. Mater. Chem. C; 2020; 8, pp. 3938-3955.1:CAS:528:DC%2BB3cXjslylurs%3D [DOI: https://dx.doi.org/10.1039/D0TC00388C]
58. Howarter, JA; Youngblood, JP. Optimization of silica silanization by 3-aminopropyltriethoxysilane. Langmuir; 2006; 22, pp. 11142-11147.1:CAS:528:DC%2BD28XhtF2ntbbM [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/17154595][DOI: https://dx.doi.org/10.1021/la061240g]
59. Osterholtz, F. D. & Pohl, E. R. Kinetics of the hydrolysis and condensation of organofunctional alkoxysilanes: a review. J. Adhes. Sci. Technol. https://doi.org/10.1163/156856192X00106 (1992).
60. Dufil, Y; Gadenne, V; Carrière, P; Nunzi, J-M; Patrone, L. Growth and organization of (3-trimethoxysilylpropyl) diethylenetriamine within reactive amino-terminated self-assembled monolayer on silica. Appl. Surf. Sci.; 2020; 508, 1:CAS:528:DC%2BB3cXhvFaqur0%3D [DOI: https://dx.doi.org/10.1016/j.apsusc.2019.145210] 145210.
61. Tian, R et al. Infrared characterization of interfacial Si−O bond formation on silanized flat SiO2/Si surfaces. Langmuir; 2010; 26, pp. 4563-4566.1:CAS:528:DC%2BC3cXitl2jtb4%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/20180563][DOI: https://dx.doi.org/10.1021/la904597c]
62. Wasserman, SR; Tao, YT; Whitesides, GM. Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates. Langmuir; 1989; 5, pp. 1074-1087.1:CAS:528:DyaL1MXks1Ckt7g%3D [DOI: https://dx.doi.org/10.1021/la00088a035]
63. Tillman, N; Ulman, A; Schildkraut, JS; Penner, TL. Incorporation of phenoxy groups in self-assembled monolayers of trichlorosilane derivatives. effects on film thickness, wettability, and molecular orientation. J. Am. Chem. Soc.; 1988; 110, pp. 6136-6144.1:CAS:528:DyaL1cXkvF2gsbg%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/22148791][DOI: https://dx.doi.org/10.1021/ja00226a031]
64. Aswal, DK; Lenfant, S; Guerin, D; Yakhmi, JV; Vuillaume, D. Self assembled monolayers on silicon for molecular electronics. Anal. Chim. Acta; 2006; 568, pp. 84-108.1:CAS:528:DC%2BD28XltVWgsb8%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/17761249][DOI: https://dx.doi.org/10.1016/j.aca.2005.10.027]
65. Xu, S; Miller, S; Laibinis, PE; Liu, G. Fabrication of nanometer scale patterns within self-assembled monolayers by nanografting. Langmuir; 1999; 15, pp. 7244-7251.1:CAS:528:DyaK1MXltl2js7o%3D [DOI: https://dx.doi.org/10.1021/la9906727]
66. Kibria, M; Zhang, F; Lee, T; Kim, M; Howlader, M. Comprehensive investigation of sequential plasma activated Si/Si bonded interfaces for nano-integration on the wafer scale. Nanotechnology; 2010; 21, 134011.1:STN:280:DC%2BC3c7oslGisA%3D%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/20208123][DOI: https://dx.doi.org/10.1088/0957-4484/21/13/134011]
67. Bryk, P et al. What is the value of water contact angle on silicon?. Materials; 2020; 13, 1554.1:CAS:528:DC%2BB3cXhsF2ksrzM [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/32230922][PubMedCentral: https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7177545][DOI: https://dx.doi.org/10.3390/ma13071554]
68. Kulisch, W et al. Investigation of the UV/O3 treatment of ultrananocrystalline diamond films. Surf. Interface Anal.; 2010; 42, pp. 1152-1155.1:CAS:528:DC%2BC3cXpt1Cru7g%3D [DOI: https://dx.doi.org/10.1002/sia.3264]
69. Pyo, CE; Chang, JH. Hydrophobic mesoporous silica particles modified with nonfluorinated alkyl Silanes. ACS Omega; 2021; 6, pp. 16100-16109.1:CAS:528:DC%2BB3MXht1CqurzF [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/34179655][PubMedCentral: https://www.ncbi.nlm.nih.gov/pmc/articles/PMC8223430][DOI: https://dx.doi.org/10.1021/acsomega.1c01981]
70. Jung, M-H; Choi, H-S. Characterization of octadecyltrichlorosilane self-assembled monolayers on silicon (100) surface. Korean J. Chem. Eng.; 2009; 26, pp. 1778-1784.1:CAS:528:DC%2BD1MXhtlynsrvL [DOI: https://dx.doi.org/10.1007/s11814-009-0249-9]
71. Wei, D et al. J. Photocatalytic hydroxylation of benzene to phenol over organosilane-functionalized FeVO 4 nanorods. Catal. Sci. Technol.; 2021; 11, pp. 5931-5937.1:CAS:528:DC%2BB3MXhsV2jtb3F [DOI: https://dx.doi.org/10.1039/D1CY00890K]
72. Sahu, BS; Kapoor, A; Srivastava, P; Agnihotri, OP; Shivaprasad, SM. Study of thermally grown and photo-CVD deposited silicon oxide–silicon nitride stack layers. Semicond. Sci. Technol.; 2003; 18, pp. 670-675.1:CAS:528:DC%2BD3sXmt1Smtrc%3D [DOI: https://dx.doi.org/10.1088/0268-1242/18/7/312]
73. Della Ciana, M et al. Native silicon oxide properties determined by doping. Langmuir; 2023; 39, pp. 12430-12451. [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/37608587][DOI: https://dx.doi.org/10.1021/acs.langmuir.3c01652]
74. Boudaden, J et al. Towards coloured glazed thermal solar collectors. Sol. Energy Mater. Sol. Cells; 2004; 84, pp. 225-239.1:CAS:528:DC%2BD2cXmvFSns7s%3D [DOI: https://dx.doi.org/10.1016/j.solmat.2004.02.042]
75. Bashouti, M. Y., Ristein, J., Haick, H., Christiansen, S. A non-oxidative approach towards hybrid silicon nanowire- based solar cell heterojunctions. https://doi.org/10.2478/hyma-2013-0002 (2014).
76. Dietrich, PM et al. Synchrotron-radiation XPS analysis of ultra-thin silane films: specifying the organic silicon. Appl. Surf. Sci.; 2016; 363, pp. 406-411.1:CAS:528:DC%2BC2MXitVWjtbfL [DOI: https://dx.doi.org/10.1016/j.apsusc.2015.12.052]
77. Zhang, L; Kuramoto, N; Kurokawa, A. XPS analysis of a 28Si-enriched sphere for realization of the kilogram. IEEE Trans. Instrum. Meas.; 2021; 70, pp. 1-5. [DOI: https://dx.doi.org/10.1109/TIM.2021.3127641]
78. Cui, N-Y; Liu, C; Yang, W. XPS and AFM characterization of the self-assembled molecular monolayers of a 3-aminopropyltrimethoxysilane on silicon surface, and effects of substrate pretreatment by UV-irradiation. Surf. Interface Anal.; 2011; 43, pp. 1082-1088.1:CAS:528:DC%2BC3MXntV2hsLs%3D [DOI: https://dx.doi.org/10.1002/sia.3698]
79. Lee, JK; Chi, YS; Choi, IS. Reactivity of acetylenyl-terminated self-assembled monolayers on gold: triazole formation. Langmuir; 2004; 20, pp. 3844-3847.1:CAS:528:DC%2BD2cXivVansbw%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/15969369][DOI: https://dx.doi.org/10.1021/la049748b]
80. Liu, H; Hamers, RJ. An X-ray photoelectron spectroscopy study of the bonding of unsaturated organic molecules to the Si(001) surface. Surf. Sci.; 1998; 416, pp. 354-362.1:CAS:528:DyaK1cXnsFens7o%3D [DOI: https://dx.doi.org/10.1016/S0039-6028(98)00507-X]
81. Contarini, S; Howlett, SP; Rizzo, C; De Angelis, BA. XPS study on the dispersion of carbon additives in silicon carbide powders. Appl. Surf. Sci.; 1991; 51, pp. 177-183.1:CAS:528:DyaK3MXmtFegs7s%3D [DOI: https://dx.doi.org/10.1016/0169-4332(91)90400-E]
82. Gheorghe, NG; Lungu, GA; Costescu, RM; Teodorescu, CM. Significantly different contamination of atomically clean Si(001) when investigated by XPS and AES. Phys. Status Solidi B; 2011; 248, pp. 1919-1924.1:CAS:528:DC%2BC3MXpsVOhsr4%3D [DOI: https://dx.doi.org/10.1002/pssb.201147220]
83. Riedo, E et al. Structural properties and surface morphology of laser-deposited amorphous carbon and carbon nitride films. Surf. Coat. Technol.; 2000; 125, pp. 124-128.1:CAS:528:DC%2BD3cXhsVWgtrw%3D [DOI: https://dx.doi.org/10.1016/S0257-8972(99)00591-5]
84. Scharf, TW; Ott, RD; Yang, D; Barnard, JA. Structural and tribological characterization of protective amorphous diamond-like carbon and amorphous CNx overcoats for next generation hard disks. J. Appl. Phys.; 1999; 85, pp. 3142-3154.1:CAS:528:DyaK1MXhsFWqsbY%3D [DOI: https://dx.doi.org/10.1063/1.369654]
85. Fadeev, AY; McCarthy, TJ. Self-assembly is not the only reaction possible between alkyltrichlorosilanes and surfaces: monomolecular and oligomeric covalently attached layers of dichloro- and trichloroalkylsilanes on silicon. Langmuir; 2000; 16, pp. 7268-7274.1:CAS:528:DC%2BD3cXltlahu7Y%3D [DOI: https://dx.doi.org/10.1021/la000471z]
86. Sha, X et al. Quartz crystal microbalance (QCM): useful for developing procedures for immobilization of proteins on solid surfaces. Anal. Chem.; 2012; 84, pp. 10298-10305.1:CAS:528:DC%2BC38Xhs1Wlt73I [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/23121645][DOI: https://dx.doi.org/10.1021/ac302275r]
87. Henss, A et al. High resolution imaging and 3D analysis of Ag nanoparticles in cells with ToF-SIMS and delayed extraction. Biointerphases; 2018; 13, [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/29490464][DOI: https://dx.doi.org/10.1116/1.5015957] 03B410.
88. Nygren, H; Hagenhoff, B; Malmberg, P; Nilsson, M; Richter, K. Bioimaging TOF-SIMS: high resolution 3D imaging of single cells. Microsc. Res. Tech.; 2007; 70, pp. 969-974.1:CAS:528:DC%2BD2sXhsVGitbnN [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/17661396][DOI: https://dx.doi.org/10.1002/jemt.20502]
89. Arunagiri, TN; Zhang, Y; Chyan, O; Kim, MJ; Hurd, TQ. Interfacial diffusion studies of Cu ∕ (5 Nm Ru) ∕ Si structures: physical vapor deposited vs electrochemically deposited Cu. J. Electrochem. Soc.; 2005; 152, G808. [DOI: https://dx.doi.org/10.1149/1.2039939]
90. Hale, LVA et al. The mechanism of acceptorless amine double dehydrogenation by N,N,N-amide ruthenium(II) hydrides: a combined experimental and computational study. ACS Catal.; 2016; 6, pp. 4799-4813.1:CAS:528:DC%2BC28XhtVCitr7N [DOI: https://dx.doi.org/10.1021/acscatal.6b01465]
91. Banerjee, K; Souri, SJ; Kapur, P; Saraswat, KC. 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc. IEEE; 2001; 89, pp. 602-633.1:CAS:528:DC%2BD3MXltFWhsb8%3D [DOI: https://dx.doi.org/10.1109/5.929647]
92. Porter, M. et al. Reliability considerations for implantable medical ICs. In Proc. 2008 IEEE International Reliability Physics Symposium 2008, 516–523. https://doi.org/10.1109/RELPHY.2008.4558939.
93. Petrone, G; Spagnuolo, G; Teodorescu, R; Veerachary, M; Vitelli, M. Reliability issues in photovoltaic power processing systems. IEEE Trans. Ind. Electron.; 2008; 55, pp. 2569-2580. [DOI: https://dx.doi.org/10.1109/TIE.2008.924016]
94. Latt, KM; Lee, YK; Osipowicz, T; Park, HS. Interfacial reactions and failure mechanism of Cu/Ta/SiO2/Si multilayer structure in thermal annealing. Mater. Sci. Eng. B; 2002; 94, pp. 111-120. [DOI: https://dx.doi.org/10.1016/S0921-5107(02)00093-4]
95. Holloway, K et al. Tantalum as a diffusion barrier between copper and silicon: failure mechanism and effect of nitrogen additions. J. Appl. Phys.; 1992; 71, pp. 5433-5444.1:CAS:528:DyaK38XkvVWjtLk%3D [DOI: https://dx.doi.org/10.1063/1.350566]
96. Khanna, VK. Adhesion–delamination phenomena at the surfaces and interfaces in microelectronics and MEMS structures and packaged devices. J. Phys. Appl. Phys.; 2010; 44, 034004. [DOI: https://dx.doi.org/10.1088/0022-3727/44/3/034004]
97. Balakumar, S; Wong, G; Fo Tsang, C; Hara, T; Yoo, WJ. Enhancement of adhesion strength of Cu layer on single and multi-layer dielectric film stack in Cu/Low k multi-level interconnects. Microelectron. Eng.; 2004; 75, pp. 183-193.1:CAS:528:DC%2BD2cXlvFCksrg%3D [DOI: https://dx.doi.org/10.1016/j.mee.2004.05.003]
98. Schmitz, J. Low Temperature thin films for next-generation microelectronics (invited). Surf. Coat. Technol.; 2018; 343, pp. 83-88.1:CAS:528:DC%2BC2sXhvVens7nN [DOI: https://dx.doi.org/10.1016/j.surfcoat.2017.11.013]
99. Luce, FP et al. Methodology for thermal budget reduction of SPER down to 450 °C for 3D sequential integration. Nucl. Instrum. Methods Phys. Res. Sect. B; 2016; 370, pp. 14-18.1:CAS:528:DC%2BC2MXitVemtrnL [DOI: https://dx.doi.org/10.1016/j.nimb.2015.12.021]
100. Nagy, G; Walker, AV. Dynamics of the interaction of vapor-deposited copper with alkanethiolate monolayers: bond insertion, complexation, and penetration pathways. J. Phys. Chem. B; 2006; 110, pp. 12543-12554.1:CAS:528:DC%2BD28Xlt1Gitr0%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/16800584][DOI: https://dx.doi.org/10.1021/jp055040+]
101. Bogan, J et al. Nucleation and adhesion of ultra-thin copper films on amino-terminated self-assembled monolayers. Appl. Surf. Sci.; 2018; 462, pp. 38-47.1:CAS:528:DC%2BC1cXhsFeqsLjK [DOI: https://dx.doi.org/10.1016/j.apsusc.2018.08.029]
102. Wu, P-H et al. Organosiloxane monolayers terminated with amine groups as adhesives for Si metallization. ACS Appl. Nano Mater.; 2020; 3, pp. 3741-3749.1:CAS:528:DC%2BB3cXmtVGmtLs%3D [DOI: https://dx.doi.org/10.1021/acsanm.0c00430]
103. D’Souza, AS; Pantano, CG. Hydroxylation and dehydroxylation behavior of silica glass fracture surfaces. J. Am. Ceram. Soc.; 2002; 85, pp. 1499-1504. [DOI: https://dx.doi.org/10.1111/j.1151-2916.2002.tb00303.x]
104. Caro, A et al. Bottom-up engineering of subnanometer copper diffusion barriers using NH2 derived self-assembled monolayers. Adv. Funct. Mater.; 2010; 20, pp. 1125-1131.1:CAS:528:DC%2BC3cXkt1Chsbc%3D [DOI: https://dx.doi.org/10.1002/adfm.200902072]
105. Wang, S; Hong, S; White, A; Hoener, C; Mayer, JW. Interfacial reactions in the SiO2/Ru and SiO2/Ru/Al-Si structures. J. Appl. Phys.; 1995; 77, pp. 5751-5762.1:CAS:528:DyaK2MXlslOntrw%3D [DOI: https://dx.doi.org/10.1063/1.359220]
106. Arunagiri, TN et al. 5nm ruthenium thin film as a directly plateable copper diffusion barrier. Appl. Phys. Lett.; 2005; 86, [DOI: https://dx.doi.org/10.1063/1.1867560] 083104.
107. Feng, P-H et al. Unleashing the power of 2D MoS2: in situ tem study of its potential as diffusion barriers in Ru interconnects. ACS Appl. Mater. Interfaces; 2023; 15, pp. 48543-48550.1:CAS:528:DC%2BB3sXitVCisb3F [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/37792701][DOI: https://dx.doi.org/10.1021/acsami.3c10656]
108. Kim, Y-H et al. Atomic layer deposited RuO2 diffusion barrier for next generation Ru-interconnects. Adv. Funct. Mater.; 2022; 32, 1:CAS:528:DC%2BB38XitFSktLnE [DOI: https://dx.doi.org/10.1002/adfm.202206667] 2206667.
109. Coloma Ribera, R; van de Kruijs, RWE; Sturm, JM; Yakshin, AE; Bijkerk, F. In vacuo growth studies of Ru thin films on Si, SiN, and SiO2 by high-sensitivity low energy ion scattering. J. Appl. Phys.; 2016; 120, [DOI: https://dx.doi.org/10.1063/1.4960577] 065303.
110. Sameshima, J; Maeda, R; Yamada, K; Karen, A; Yamada, S. Depth profiles of boron and nitrogen in SiON films by backside SIMS. Appl. Surf. Sci.; 2004; 231–232, pp. 614-617. [DOI: https://dx.doi.org/10.1016/j.apsusc.2004.03.123]
111. Cole, D. A. & Zhang, L. 10 - surface analysis methods for contaminant identification. in Developments in Surface Contamination and Cleaning (eds Kohli, R., Mittal, K. L.) (William Andrew Publishing: Norwich, NY, 2008) 585–652. https://doi.org/10.1016/B978-081551555-5.50012-5.
112. Delamarche, E; Michel, B; Kang, H; Gerber, CH. Thermal stability of self-assembled monolayers. Langmuir; 1994; 10, pp. 4103-4108.1:CAS:528:DyaK2cXms1Cms7o%3D [DOI: https://dx.doi.org/10.1021/la00023a033]
113. Rozenblat, A; Rosenwaks, Y; Segev, L; Cohen, H. Electrical depth profiling in thin SiON layers. Appl. Phys. Lett.; 2009; 94, [DOI: https://dx.doi.org/10.1063/1.3073050] 053116.
114. Parks, CC. Secondary ion mass spectrometry of a copper polyimide thin film packaging technology. J. Vac. Sci. Technol. A; 1997; 15, pp. 1328-1333.1:CAS:528:DyaK2sXktFSkurw%3D [DOI: https://dx.doi.org/10.1116/1.580584]
115. Sakuma, K. et al. Fluxless bonding for fine-pitch and low-volume solder 3-D interconnections. In Proc. 2011 IEEE 61st Electronic Components and Technology Conference (ECTC) 7–13. https://doi.org/10.1109/ECTC.2011.5898483 (2011).
116. Tzaguy, A et al. Boron monolayer doping: role of oxide capping layer, molecular fragmentation, and doping uniformity at the nanoscale. Adv. Mater. Interfaces; 2020; 7, 1:CAS:528:DC%2BB3cXksVGlsL4%3D [DOI: https://dx.doi.org/10.1002/admi.201902198] 1902198.
117. Saxena, K; Bisaria, CS; Saxena, AK. Studies on the synthesis and thermal properties of alkoxysilane-terminated organosilicone dendrimers. Appl. Organomet. Chem.; 2010; 24, pp. 251-256.1:CAS:528:DC%2BC3cXisVajurc%3D [DOI: https://dx.doi.org/10.1002/aoc.1615]
118. Aguirresarobe, RH; Irusta, L; Fernandez-Berridi, MJ. Application of TGA/FTIR to the study of the thermal degradation mechanism of silanized poly(ether-urethanes). Polym. Degrad. Stab.; 2012; 97, pp. 1671-1679.1:CAS:528:DC%2BC38XhtVamtbrE [DOI: https://dx.doi.org/10.1016/j.polymdegradstab.2012.06.019]
119. Chandekar, A; Sengupta, SK; Whitten, JE. Thermal stability of thiol and silane monolayers: a comparative study. Appl. Surf. Sci.; 2010; 256, pp. 2742-2749.1:CAS:528:DC%2BC3cXht1OltLw%3D [DOI: https://dx.doi.org/10.1016/j.apsusc.2009.11.020]
120. Ermakova, EN et al. Thermal properties of some organosilicon precursors for chemical vapor deposition. J. Therm. Anal. Calorim.; 2016; 126, pp. 609-616.1:CAS:528:DC%2BC28XpvVansrc%3D [DOI: https://dx.doi.org/10.1007/s10973-016-5563-y]
121. De Graeve, I; Vereecken, J; Franquet, A; Van Schaftinghen, T; Terryn, H. Silane coating of metal substrates: complementary use of electrochemical, optical and thermal analysis for the evaluation of film properties. Prog. Org. Coat.; 2007; 59, pp. 224-229. [DOI: https://dx.doi.org/10.1016/j.porgcoat.2006.09.006]
122. Alphazan, T et al. Monolayer doping of silicon through grafting a tailored molecular phosphorus precursor onto oxide-passivated silicon surfaces. Chem. Mater.; 2016; 28, pp. 3634-3640.1:CAS:528:DC%2BC28Xot1Omu78%3D [DOI: https://dx.doi.org/10.1021/acs.chemmater.5b04291]
123. Ho, JC et al. Controlled nanoscale doping of semiconductors via molecular monolayers. Nat. Mater.; 2008; 7, pp. 62-67.1:CAS:528:DC%2BD1cXhtlehsQ%3D%3D [PubMed: https://www.ncbi.nlm.nih.gov/pubmed/17994026][DOI: https://dx.doi.org/10.1038/nmat2058]
124. Zhang, C; Chang, S; Dan, Y. Advances in ultrashallow doping of silicon. Adv. Phys. X; 2021; 6, 1871407.
125. Seitz, O et al. Doping molecular monolayers: effects on electrical transport through alkyl chains on silicon. Adv. Funct. Mater.; 2008; 18, pp. 2102-2113.1:CAS:528:DC%2BD1cXpsFOhurg%3D [DOI: https://dx.doi.org/10.1002/adfm.200800208]
© The Author(s) 2025. This work is published under http://creativecommons.org/licenses/by-nc-nd/4.0/ (the "License"). Notwithstanding the ProQuest Terms and Conditions, you may use this content in accordance with the terms of the License.